聚光科技实习笔记(2015.7.13 - 2015.7.17)

开发笔记

2015.7.13 - 2015.7.17

简述

本周是我真正开始接触verilog开发的一周,
本周我主要是完成了一个小型的计数平均器的开发。

  • 首先,我把设计转化为verilog设计语言
  • 然后,使用modelsim对每个模块进行了功能性仿真,已验证逻辑设计的正确性。
  • 随后,使用了signaltap ii对项目进行片上仿真
  • 最终,项目通过了多次普通频率信号的仿真之后,确定运行正常且实现了最初的设计目的

细节

设计目的和预期

时钟

晶振时钟使用的是50 Mhz,而经过PLL之后倍频为系统时钟 100Mhz

功能

先采集每10ms输入脉冲的数量,再对10个采样值取平均数

设计图和verilog代码的实现

设计上分为三个模块

  • PLL模块:用于产生系统时钟和测试信号,直接使用IP核产生。c0为2倍频,用于系统时钟;c1为四倍频,用于测试对照时钟;c2为四分频,用于模拟采样信号
  • echantion模块:用于采样,由verilog编写
  • calcul模块:用于处理数据输出平均值,由verilog编写
remarque

verilog代码的编写有很大自由,但是综合软件特别笨,往往无法综合代码。
以下是写出可综合代码需要注意的点:

  • 尽可能简化结构,模块化编程。
  • 如有可能,在一个结构内只对一个变量做调整
  • 一个变量只能在一个always行为语句中被赋值
  • assign 只能给wire赋值
  • 输入输出接口的数据长度要一致
  • 预先判断好数据长度,防止数据过大而溢出
  • 使用reset而不是initial
  • 使用==和!= 而不是 ===和!==

modelsim的仿真

modelsim是一款强大的仿真软件,此次用于功能性仿真。需要注意的是modelsim可以理解所有verilog语言,所以testbench的自由度比较高,但是仿真结束后未必意味着可以综合。
推荐在仿真之后使用tool> Netlist Viewer > technology Map Viewer和RTL Viewer来确认。 technology Map Viewer 可以看出代码是否真的可以被综合,而RTL Viewer可以看到是否逻辑正确

引脚分配

使用tcl脚本分配引脚,语句模板是
set_location_assignment PIN_30 -to i_clk

signalTap ii的仿真

这是本项目的关键,通过逐个模块信号的仿真,可以最终得到符合要求的最终项目版本。
另外,生成的.sof文件位于output_file文件夹里

remarque

时钟的设置是关键,推荐使用最高频率的时钟或者系统时钟。
此处我们使用的是PLL产生的四倍频时钟

实验中使用的采样和仿真结果

50Mhz ->每次取样10ms脉冲数为500 0x1F4

50MHZ.png

25Mhz ->每次取样10ms脉冲数为250 0xFA

25MHZ.png

12.5Mhz ->每次取样10ms脉冲数为125 0x7D

12.5MHZ.png

总结

通过这个项目,我初次接触了完整的开发流程,并且试验了modelsim的使用,为之后项目开发做了准备。

最后编辑于
©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 194,457评论 5 459
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 81,837评论 2 371
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 141,696评论 0 319
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 52,183评论 1 263
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 61,057评论 4 355
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 46,105评论 1 272
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 36,520评论 3 381
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 35,211评论 0 253
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 39,482评论 1 290
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 34,574评论 2 309
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 36,353评论 1 326
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 32,213评论 3 312
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 37,576评论 3 298
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 28,897评论 0 17
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 30,174评论 1 250
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 41,489评论 2 341
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 40,683评论 2 335

推荐阅读更多精彩内容