GPIO按键控制中断以及LED亮灭(zc702)

  1. 在vivado-->Block design下加入IP:AXI GPIO以及ZYNQ7。自动连接。

  2. 打开ZYNQ7的PL-PS中断接口。

  1. AXI GPIO设置如下,注意勾选下方的中断使能。

  1. 将AXI GPIO和ZYNQ7 PS的中断接口相连。
  1. 生成比特流,Export to hardware,Launch SDK.

  2. 连接硬件,SDK上方,Xilinx Tools,Program FPGA。

  3. 创建Porject方法和打开串口客户端的方法和前一篇文章相同。

使用计数方式控制LED

/***************************** Include Files *********************************/

#include "xparameters.h"
#include "xgpio.h"
#include "xil_exception.h"

#ifdef XPAR_INTC_0_DEVICE_ID
 #include "xintc.h"
 #include <stdio.h>
#else
 #include "xscugic.h"
 #include "xil_printf.h"
#endif

#define LED 0x0F
/************************** Constant Definitions *****************************/
#ifndef TESTAPP_GEN
/*
 * The following constants map to the XPAR parameters created in the
 * xparameters.h file. They are defined here such that a user can easily
 * change all the needed parameters in one place.
 */
#define GPIO_DEVICE_ID      XPAR_GPIO_0_DEVICE_ID
#define GPIO_CHANNEL1       1

#ifdef XPAR_INTC_0_DEVICE_ID
 #define INTC_GPIO_INTERRUPT_ID XPAR_INTC_0_GPIO_0_VEC_ID
 #define INTC_DEVICE_ID XPAR_INTC_0_DEVICE_ID
#else
 #define INTC_GPIO_INTERRUPT_ID XPAR_FABRIC_AXI_GPIO_0_IP2INTC_IRPT_INTR
 #define INTC_DEVICE_ID XPAR_SCUGIC_SINGLE_DEVICE_ID
#endif /* XPAR_INTC_0_DEVICE_ID */

/*
 * The following constants define the positions of the buttons and LEDs each
 * channel of the GPIO
 */
#define GPIO_ALL_LEDS       0xFFFF
#define GPIO_ALL_BUTTONS    0xFFFF

/*
 * The following constants define the GPIO channel that is used for the buttons
 * and the LEDs. They allow the channels to be reversed easily.
 */
#define BUTTON_CHANNEL   1  /* Channel 1 of the GPIO Device */
#define LED_CHANNEL  2  /* Channel 2 of the GPIO Device */
#define BUTTON_INTERRUPT XGPIO_IR_CH1_MASK  /* Channel 1 Interrupt Mask */

/*
 * The following constant determines which buttons must be pressed at the same
 * time to cause interrupt processing to stop and start
 */
#define INTERRUPT_CONTROL_VALUE 0x7

/*
 * The following constant is used to wait after an LED is turned on to make
 * sure that it is visible to the human eye.  This constant might need to be
 * tuned for faster or slower processor speeds.
 */
#define LED_DELAY   1000000

#endif /* TESTAPP_GEN */

#define INTR_DELAY  0x00FFFFFF

#ifdef XPAR_INTC_0_DEVICE_ID
 #define INTC_DEVICE_ID XPAR_INTC_0_DEVICE_ID
 #define INTC       XIntc
 #define INTC_HANDLER   XIntc_InterruptHandler
#else
 #define INTC_DEVICE_ID XPAR_SCUGIC_SINGLE_DEVICE_ID
 #define INTC       XScuGic
 #define INTC_HANDLER   XScuGic_InterruptHandler
#endif /* XPAR_INTC_0_DEVICE_ID */

/************************** Function Prototypes ******************************/
void GpioHandler(void *CallBackRef);

int GpioIntrExample(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 DeviceId, u16 IntrId,
            u16 IntrMask, u32 *DataRead);

int GpioSetupIntrSystem(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 DeviceId, u16 IntrId, u16 IntrMask);

void GpioDisableIntr(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 IntrId, u16 IntrMask);

/************************** Variable Definitions *****************************/

/*
 * The following are declared globally so they are zeroed and so they are
 * easily accessible from a debugger
 */
XGpio Gpio; /* The Instance of the GPIO Driver */

INTC Intc; /* The Instance of the Interrupt Controller Driver */


static u16 GlobalIntrMask; /* GPIO channel mask that is needed by
                * the Interrupt Handler */

static volatile u32 IntrFlag; /* Interrupt Handler Flag */

/****************************************************************************/
/**
* This function is the main function of the GPIO example.  It is responsible
* for initializing the GPIO device, setting up interrupts and providing a
* foreground loop such that interrupt can occur in the background.
*
* @param    None.
*
* @return
*       - XST_SUCCESS to indicate success.
*       - XST_FAILURE to indicate failure.
*
* @note     None.
*
*****************************************************************************/
#ifndef TESTAPP_GEN
int count_flag=0;
int main(void)
{
    int Status;
    u32 DataRead;

      print(" Press button to Generate Interrupt\r\n");

      Status = GpioIntrExample(&Intc, &Gpio,
                   GPIO_DEVICE_ID,
                   INTC_GPIO_INTERRUPT_ID,
                   GPIO_CHANNEL1, &DataRead);

    if (Status == 0 ){
        if(DataRead == 0)
            print("No button pressed. \r\n");
        else
            print("Successfully ran Gpio Interrupt Tapp Example\r\n");
    } else {
         print("Gpio Interrupt Tapp Example Failed.\r\n");
         return XST_FAILURE;
    }
    while(1);
    return XST_SUCCESS;
}
#endif

/******************************************************************************/
/**
*
* This is the entry function from the TestAppGen tool generated application
* which tests the interrupts when enabled in the GPIO
*
* @param    IntcInstancePtr is a reference to the Interrupt Controller
*       driver Instance
* @param    InstancePtr is a reference to the GPIO driver Instance
* @param    DeviceId is the XPAR_<GPIO_instance>_DEVICE_ID value from
*       xparameters.h
* @param    IntrId is XPAR_<INTC_instance>_<GPIO_instance>_IP2INTC_IRPT_INTR
*       value from xparameters.h
* @param    IntrMask is the GPIO channel mask
* @param    DataRead is the pointer where the data read from GPIO Input is
*       returned
*
* @return
*       - XST_SUCCESS if the Test is successful
*       - XST_FAILURE if the test is not successful
*
* @note     None.
*
******************************************************************************/
int GpioIntrExample(INTC *IntcInstancePtr, XGpio* InstancePtr, u16 DeviceId,
            u16 IntrId, u16 IntrMask, u32 *DataRead)
{
    int Status;
    u32 delay;

    /* Initialize the GPIO driver. If an error occurs then exit */
    Status = XGpio_Initialize(InstancePtr, DeviceId);
    XGpio_SetDataDirection(&Gpio, LED_CHANNEL, ~LED);
    if (Status != XST_SUCCESS) {
        return XST_FAILURE;
    }

    Status = GpioSetupIntrSystem(IntcInstancePtr, InstancePtr, DeviceId,
                    IntrId, IntrMask);
    if (Status != XST_SUCCESS) {
        return XST_FAILURE;
    }

    IntrFlag = 0;
    delay = 0;

//  while(!IntrFlag && (delay < INTR_DELAY)) {
    while(1) {
        delay++;
    }

    GpioDisableIntr(IntcInstancePtr, InstancePtr, IntrId, IntrMask);

    *DataRead = IntrFlag;

    return Status;
}


/******************************************************************************/
/**
*
* This function performs the GPIO set up for Interrupts
*
* @param    IntcInstancePtr is a reference to the Interrupt Controller
*       driver Instance
* @param    InstancePtr is a reference to the GPIO driver Instance
* @param    DeviceId is the XPAR_<GPIO_instance>_DEVICE_ID value from
*       xparameters.h
* @param    IntrId is XPAR_<INTC_instance>_<GPIO_instance>_IP2INTC_IRPT_INTR
*       value from xparameters.h
* @param    IntrMask is the GPIO channel mask
*
* @return   XST_SUCCESS if the Test is successful, otherwise XST_FAILURE
*
* @note     None.
*
******************************************************************************/
int GpioSetupIntrSystem(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 DeviceId, u16 IntrId, u16 IntrMask)
{
    int Result;

    GlobalIntrMask = IntrMask;

#ifdef XPAR_INTC_0_DEVICE_ID

#ifndef TESTAPP_GEN
    /*
     * Initialize the interrupt controller driver so that it's ready to use.
     * specify the device ID that was generated in xparameters.h
     */
    Result = XIntc_Initialize(IntcInstancePtr, INTC_DEVICE_ID);
    if (Result != XST_SUCCESS) {
        return Result;
    }
#endif /* TESTAPP_GEN */

    /* Hook up interrupt service routine */
    XIntc_Connect(IntcInstancePtr, IntrId,
              (Xil_ExceptionHandler)GpioHandler, InstancePtr);

    /* Enable the interrupt vector at the interrupt controller */
    XIntc_Enable(IntcInstancePtr, IntrId);

#ifndef TESTAPP_GEN
    /*
     * Start the interrupt controller such that interrupts are recognized
     * and handled by the processor
     */
    Result = XIntc_Start(IntcInstancePtr, XIN_REAL_MODE);
    if (Result != XST_SUCCESS) {
        return Result;
    }
#endif /* TESTAPP_GEN */

#else /* !XPAR_INTC_0_DEVICE_ID */

#ifndef TESTAPP_GEN
    XScuGic_Config *IntcConfig;

    /*
     * Initialize the interrupt controller driver so that it is ready to
     * use.
     */
    IntcConfig = XScuGic_LookupConfig(INTC_DEVICE_ID);
    if (NULL == IntcConfig) {
        return XST_FAILURE;
    }

    Result = XScuGic_CfgInitialize(IntcInstancePtr, IntcConfig,
                    IntcConfig->CpuBaseAddress);
    if (Result != XST_SUCCESS) {
        return XST_FAILURE;
    }
#endif /* TESTAPP_GEN */

    XScuGic_SetPriorityTriggerType(IntcInstancePtr, IntrId,
                    0xA0, 0x3);

    /*
     * Connect the interrupt handler that will be called when an
     * interrupt occurs for the device.
     */
    Result = XScuGic_Connect(IntcInstancePtr, IntrId,
                 (Xil_ExceptionHandler)GpioHandler, InstancePtr);
    if (Result != XST_SUCCESS) {
        return Result;
    }

    /* Enable the interrupt for the GPIO device.*/
    XScuGic_Enable(IntcInstancePtr, IntrId);
#endif /* XPAR_INTC_0_DEVICE_ID */

    /*
     * Enable the GPIO channel interrupts so that push button can be
     * detected and enable interrupts for the GPIO device
     */
    XGpio_InterruptEnable(InstancePtr, IntrMask);
    XGpio_InterruptGlobalEnable(InstancePtr);

    /*
     * Initialize the exception table and register the interrupt
     * controller handler with the exception table
     */
    Xil_ExceptionInit();

    Xil_ExceptionRegisterHandler(XIL_EXCEPTION_ID_INT,
             (Xil_ExceptionHandler)INTC_HANDLER, IntcInstancePtr);

    /* Enable non-critical exceptions */
    Xil_ExceptionEnable();

    return XST_SUCCESS;
}

/******************************************************************************/
/**
*
* This is the interrupt handler routine for the GPIO for this example.
*
* @param    CallbackRef is the Callback reference for the handler.
*
* @return   None.
*
* @note     None.
*
******************************************************************************/
void GpioHandler(void *CallbackRef)
{
    XGpio *GpioPtr = (XGpio *)CallbackRef;

    IntrFlag = 1;
    count_flag=count_flag+1;
    if(count_flag%2==1){
        print("Successfully\r\n");
        /* Set the LED to High */
        XGpio_DiscreteWrite(&Gpio, LED_CHANNEL, LED);
        printf("led on \n");
    }
    else{
        XGpio_DiscreteClear(&Gpio, LED_CHANNEL, LED);
        printf("led off \n");
    }
    /* Clear the Interrupt */
    XGpio_InterruptClear(GpioPtr, GlobalIntrMask);

}

/******************************************************************************/
/**
*
* This function disables the interrupts for the GPIO
*
* @param    IntcInstancePtr is a pointer to the Interrupt Controller
*       driver Instance
* @param    InstancePtr is a pointer to the GPIO driver Instance
* @param    IntrId is XPAR_<INTC_instance>_<GPIO_instance>_VEC
*       value from xparameters.h
* @param    IntrMask is the GPIO channel mask
*
* @return   None
*
* @note     None.
*
******************************************************************************/
void GpioDisableIntr(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 IntrId, u16 IntrMask)
{
    XGpio_InterruptDisable(InstancePtr, IntrMask);
#ifdef XPAR_INTC_0_DEVICE_ID
    XIntc_Disable(IntcInstancePtr, IntrId);
#else
    /* Disconnect the interrupt */
    XScuGic_Disable(IntcInstancePtr, IntrId);
    XScuGic_Disconnect(IntcInstancePtr, IntrId);
#endif
    return;
}

使用读取按键值的方式控制LED


/***************************** Include Files *********************************/

#include "xparameters.h"
#include "xgpio.h"
#include "xil_exception.h"

#ifdef XPAR_INTC_0_DEVICE_ID
 #include "xintc.h"
 #include <stdio.h>
#else
 #include "xscugic.h"
 #include "xil_printf.h"
#endif

#define LED 0x0F
/************************** Constant Definitions *****************************/
#ifndef TESTAPP_GEN
/*
 * The following constants map to the XPAR parameters created in the
 * xparameters.h file. They are defined here such that a user can easily
 * change all the needed parameters in one place.
 */
#define GPIO_DEVICE_ID      XPAR_GPIO_0_DEVICE_ID
#define GPIO_CHANNEL1       1

#ifdef XPAR_INTC_0_DEVICE_ID
 #define INTC_GPIO_INTERRUPT_ID XPAR_INTC_0_GPIO_0_VEC_ID
 #define INTC_DEVICE_ID XPAR_INTC_0_DEVICE_ID
#else
 #define INTC_GPIO_INTERRUPT_ID XPAR_FABRIC_AXI_GPIO_0_IP2INTC_IRPT_INTR
 #define INTC_DEVICE_ID XPAR_SCUGIC_SINGLE_DEVICE_ID
#endif /* XPAR_INTC_0_DEVICE_ID */

/*
 * The following constants define the positions of the buttons and LEDs each
 * channel of the GPIO
 */
#define GPIO_ALL_LEDS       0xFFFF
#define GPIO_ALL_BUTTONS    0xFFFF

/*
 * The following constants define the GPIO channel that is used for the buttons
 * and the LEDs. They allow the channels to be reversed easily.
 */
#define BUTTON_CHANNEL   1  /* Channel 1 of the GPIO Device */
#define LED_CHANNEL  2  /* Channel 2 of the GPIO Device */
#define BUTTON_INTERRUPT XGPIO_IR_CH1_MASK  /* Channel 1 Interrupt Mask */

/*
 * The following constant determines which buttons must be pressed at the same
 * time to cause interrupt processing to stop and start
 */
#define INTERRUPT_CONTROL_VALUE 0x7

/*
 * The following constant is used to wait after an LED is turned on to make
 * sure that it is visible to the human eye.  This constant might need to be
 * tuned for faster or slower processor speeds.
 */
#define LED_DELAY   1000000

#endif /* TESTAPP_GEN */

#define INTR_DELAY  0x00FFFFFF

#ifdef XPAR_INTC_0_DEVICE_ID
 #define INTC_DEVICE_ID XPAR_INTC_0_DEVICE_ID
 #define INTC       XIntc
 #define INTC_HANDLER   XIntc_InterruptHandler
#else
 #define INTC_DEVICE_ID XPAR_SCUGIC_SINGLE_DEVICE_ID
 #define INTC       XScuGic
 #define INTC_HANDLER   XScuGic_InterruptHandler
#endif /* XPAR_INTC_0_DEVICE_ID */

/************************** Function Prototypes ******************************/
void GpioHandler(void *CallBackRef);

int GpioIntrExample(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 DeviceId, u16 IntrId,
            u16 IntrMask, u32 *DataRead);

int GpioSetupIntrSystem(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 DeviceId, u16 IntrId, u16 IntrMask);

void GpioDisableIntr(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 IntrId, u16 IntrMask);

/************************** Variable Definitions *****************************/

/*
 * The following are declared globally so they are zeroed and so they are
 * easily accessible from a debugger
 */
XGpio Gpio; /* The Instance of the GPIO Driver */

INTC Intc; /* The Instance of the Interrupt Controller Driver */


static u16 GlobalIntrMask; /* GPIO channel mask that is needed by
                * the Interrupt Handler */

static volatile u32 IntrFlag; /* Interrupt Handler Flag */

/****************************************************************************/
/**
* This function is the main function of the GPIO example.  It is responsible
* for initializing the GPIO device, setting up interrupts and providing a
* foreground loop such that interrupt can occur in the background.
*
* @param    None.
*
* @return
*       - XST_SUCCESS to indicate success.
*       - XST_FAILURE to indicate failure.
*
* @note     None.
*
*****************************************************************************/
#ifndef TESTAPP_GEN
int main(void)
{
    int Status;
    u32 DataRead;

      print(" Press button to Generate Interrupt\r\n");

      Status = GpioIntrExample(&Intc, &Gpio,
                   GPIO_DEVICE_ID,
                   INTC_GPIO_INTERRUPT_ID,
                   GPIO_CHANNEL1, &DataRead);
      XGpio_SetDataDirection(&Gpio, LED_CHANNEL, ~LED);
    if (Status == 0 ){
        if(DataRead == 0)
            print("No button pressed. \r\n");
        else
            print("Successfully ran Gpio Interrupt Tapp Example\r\n");
    } else {
         print("Gpio Interrupt Tapp Example Failed.\r\n");
         return XST_FAILURE;
    }
    while(1);
    return XST_SUCCESS;
}
#endif

/******************************************************************************/
/**
*
* This is the entry function from the TestAppGen tool generated application
* which tests the interrupts when enabled in the GPIO
*
* @param    IntcInstancePtr is a reference to the Interrupt Controller
*       driver Instance
* @param    InstancePtr is a reference to the GPIO driver Instance
* @param    DeviceId is the XPAR_<GPIO_instance>_DEVICE_ID value from
*       xparameters.h
* @param    IntrId is XPAR_<INTC_instance>_<GPIO_instance>_IP2INTC_IRPT_INTR
*       value from xparameters.h
* @param    IntrMask is the GPIO channel mask
* @param    DataRead is the pointer where the data read from GPIO Input is
*       returned
*
* @return
*       - XST_SUCCESS if the Test is successful
*       - XST_FAILURE if the test is not successful
*
* @note     None.
*
******************************************************************************/
int GpioIntrExample(INTC *IntcInstancePtr, XGpio* InstancePtr, u16 DeviceId,
            u16 IntrId, u16 IntrMask, u32 *DataRead)
{
    int Status;
    u32 delay;

    /* Initialize the GPIO driver. If an error occurs then exit */
    Status = XGpio_Initialize(InstancePtr, DeviceId);
    XGpio_SetDataDirection(&Gpio, LED_CHANNEL, ~LED);
    XGpio_SetDataDirection(&Gpio, BUTTON_CHANNEL, 0x3);
    if (Status != XST_SUCCESS) {
        return XST_FAILURE;
    }

    Status = GpioSetupIntrSystem(IntcInstancePtr, InstancePtr, DeviceId,
                    IntrId, IntrMask);
    if (Status != XST_SUCCESS) {
        return XST_FAILURE;
    }

    IntrFlag = 0;
    delay = 0;

//  while(!IntrFlag && (delay < INTR_DELAY)) {
    while(1) {
        delay++;
    }

    GpioDisableIntr(IntcInstancePtr, InstancePtr, IntrId, IntrMask);

    *DataRead = IntrFlag;

    return Status;
}


/******************************************************************************/
/**
*
* This function performs the GPIO set up for Interrupts
*
* @param    IntcInstancePtr is a reference to the Interrupt Controller
*       driver Instance
* @param    InstancePtr is a reference to the GPIO driver Instance
* @param    DeviceId is the XPAR_<GPIO_instance>_DEVICE_ID value from
*       xparameters.h
* @param    IntrId is XPAR_<INTC_instance>_<GPIO_instance>_IP2INTC_IRPT_INTR
*       value from xparameters.h
* @param    IntrMask is the GPIO channel mask
*
* @return   XST_SUCCESS if the Test is successful, otherwise XST_FAILURE
*
* @note     None.
*
******************************************************************************/
int GpioSetupIntrSystem(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 DeviceId, u16 IntrId, u16 IntrMask)
{
    int Result;

    GlobalIntrMask = IntrMask;

#ifdef XPAR_INTC_0_DEVICE_ID

#ifndef TESTAPP_GEN
    /*
     * Initialize the interrupt controller driver so that it's ready to use.
     * specify the device ID that was generated in xparameters.h
     */
    Result = XIntc_Initialize(IntcInstancePtr, INTC_DEVICE_ID);
    if (Result != XST_SUCCESS) {
        return Result;
    }
#endif /* TESTAPP_GEN */

    /* Hook up interrupt service routine */
    XIntc_Connect(IntcInstancePtr, IntrId,
              (Xil_ExceptionHandler)GpioHandler, InstancePtr);

    /* Enable the interrupt vector at the interrupt controller */
    XIntc_Enable(IntcInstancePtr, IntrId);

#ifndef TESTAPP_GEN
    /*
     * Start the interrupt controller such that interrupts are recognized
     * and handled by the processor
     */
    Result = XIntc_Start(IntcInstancePtr, XIN_REAL_MODE);
    if (Result != XST_SUCCESS) {
        return Result;
    }
#endif /* TESTAPP_GEN */

#else /* !XPAR_INTC_0_DEVICE_ID */

#ifndef TESTAPP_GEN
    XScuGic_Config *IntcConfig;

    /*
     * Initialize the interrupt controller driver so that it is ready to
     * use.
     */
    IntcConfig = XScuGic_LookupConfig(INTC_DEVICE_ID);
    if (NULL == IntcConfig) {
        return XST_FAILURE;
    }

    Result = XScuGic_CfgInitialize(IntcInstancePtr, IntcConfig,
                    IntcConfig->CpuBaseAddress);
    if (Result != XST_SUCCESS) {
        return XST_FAILURE;
    }
#endif /* TESTAPP_GEN */

    XScuGic_SetPriorityTriggerType(IntcInstancePtr, IntrId,
                    0xA0, 0x3);

    /*
     * Connect the interrupt handler that will be called when an
     * interrupt occurs for the device.
     */
    Result = XScuGic_Connect(IntcInstancePtr, IntrId,
                 (Xil_ExceptionHandler)GpioHandler, InstancePtr);
    if (Result != XST_SUCCESS) {
        return Result;
    }

    /* Enable the interrupt for the GPIO device.*/
    XScuGic_Enable(IntcInstancePtr, IntrId);
#endif /* XPAR_INTC_0_DEVICE_ID */

    /*
     * Enable the GPIO channel interrupts so that push button can be
     * detected and enable interrupts for the GPIO device
     */
    XGpio_InterruptEnable(InstancePtr, IntrMask);
    XGpio_InterruptGlobalEnable(InstancePtr);

    /*
     * Initialize the exception table and register the interrupt
     * controller handler with the exception table
     */
    Xil_ExceptionInit();

    Xil_ExceptionRegisterHandler(XIL_EXCEPTION_ID_INT,
             (Xil_ExceptionHandler)INTC_HANDLER, IntcInstancePtr);

    /* Enable non-critical exceptions */
    Xil_ExceptionEnable();

    return XST_SUCCESS;
}

/******************************************************************************/
/**
*
* This is the interrupt handler routine for the GPIO for this example.
*
* @param    CallbackRef is the Callback reference for the handler.
*
* @return   None.
*
* @note     None.
*
******************************************************************************/
void GpioHandler(void *CallbackRef)
{
    XGpio *GpioPtr = (XGpio *)CallbackRef;
    int num = 0;
    int led_num=0;
    IntrFlag = 1;
    printf("1\r\n");
    num = XGpio_DiscreteRead(&Gpio, BUTTON_CHANNEL);
    if(num){
        led_num=0xFF;
    }
    else{
        led_num=0;
    }
    XGpio_DiscreteWrite(&Gpio, LED_CHANNEL, led_num);

    /* Clear the Interrupt */
    XGpio_InterruptClear(GpioPtr, GlobalIntrMask);

}

/******************************************************************************/
/**
*
* This function disables the interrupts for the GPIO
*
* @param    IntcInstancePtr is a pointer to the Interrupt Controller
*       driver Instance
* @param    InstancePtr is a pointer to the GPIO driver Instance
* @param    IntrId is XPAR_<INTC_instance>_<GPIO_instance>_VEC
*       value from xparameters.h
* @param    IntrMask is the GPIO channel mask
*
* @return   None
*
* @note     None.
*
******************************************************************************/
void GpioDisableIntr(INTC *IntcInstancePtr, XGpio *InstancePtr,
            u16 IntrId, u16 IntrMask)
{
    XGpio_InterruptDisable(InstancePtr, IntrMask);
#ifdef XPAR_INTC_0_DEVICE_ID
    XIntc_Disable(IntcInstancePtr, IntrId);
#else
    /* Disconnect the interrupt */
    XScuGic_Disable(IntcInstancePtr, IntrId);
    XScuGic_Disconnect(IntcInstancePtr, IntrId);
#endif
    return;
}
最后编辑于
©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 194,491评论 5 459
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 81,856评论 2 371
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 141,745评论 0 319
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 52,196评论 1 263
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 61,073评论 4 355
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 46,112评论 1 272
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 36,531评论 3 381
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 35,215评论 0 253
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 39,485评论 1 290
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 34,578评论 2 309
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 36,356评论 1 326
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 32,215评论 3 312
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 37,583评论 3 299
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 28,898评论 0 17
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 30,174评论 1 250
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 41,497评论 2 341
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 40,697评论 2 335

推荐阅读更多精彩内容