240 发简信
IP属地:云南
  • log4cplus编译

    1. 使用visual studio编译 win10 vs2015编译过程 [https://www.freesion.com/article/...

  • gdb调试STL解决方案

    对~/.gdbinit进行修改如下 上面内容来自链接https://github.com/mzlogin/config-files/blob/m...

  • pycharm无法自动补全

    我在使用的过程中发现我的main.py文件中无法自动补全和自动跳转,其他py文件可以正常补全和定义的跳转。经过一个小时的摸索,发现如下问题 图中...

  • k8s中StatefulSet中POD无法在结点失去联系时转移

    k8s有很好的稳定性,比如POD崩溃时,可以自动创建,维持一定数量的Pod。但是我在验证断开结点是否能自动创建的时候,遇到了一个问题,通过Dep...

  • Python CV2的基本操作

    Python CV2的基本操作 cv2.imread(filepath,flags) filepath:要读入图片的完整路径flags:读入图片...

  • GCC 参数的使用

    GCC参数的使用 gcc 主要有三个参数-E -S -c -o -l 以及不加参数四个阶段:预处理(也称预编译,Preprocessing)、...

  • vim O 命令延时

    vim O 命令延时 问题描述:在普通模式按下esc后,再按O命令,会出现短暂延时 问题解决:在.vimrc 文件中添加set ttimeout...

  • vim显示16进制

    vim显示16禁止 使用vim打开一个文件我们可以看到文件中只有123这三个ascii字符,但真的如此吗? 我们使用:%!xxd可以看到文件变成...

  • Resize,w 360,h 240
    Unix网络编程第一卷-3环境配置

    Unix网络编程第一卷-第三版环境配置 首先我们先将本书中的所有样例下载下来 百度云下载链接:链接:https://pan.baidu.com/...