240 发简信
IP属地:陕西
  • “揭秘” Xilinx FPGA 的 ECO 功能

    欲观原文,请君移步 ECO 指的是 Engineering Change Order ,即工程变更指令。目的是为了在设计的后期,快速灵活地做小范...

  • Xilinx FPGA 的 DNA 加密

    欲观原文,请君移步 Xilinx FPGA都有一个独特的 ID ,也就是 Device DNA ,这个 ID 相当于我们的身份证,在 FPGA ...

  • IIC

    欲观原文,请君移步 IIC 简介 IC(Inter-Integrated Circuit)总线是一种由PHILIPS公司开发的两线式串行总线,用...

  • 蜂鸟E203系列—— SPI 设计

    欲观原文,请君移步 参考文章《SPI》 原理图 GPIO 可以通过 IOF0 和 IOF1 功能,使得 SOC 中的外设能够复用 GPIO 的 ...

  • SPI

    欲观原文,请君移步 SPI 简介 SPI全称为Seriel Peripheral Interface (串行外设接口),是 MCU 中常用的外设...

  • 蜂鸟E203系列—— UART 设计

    欲观原文,请君移步 对于串口不熟悉的用户,可参考文章《UART》 原理图 GPIO 可以通过 IOF0 和 IOF1 功能,使得 SOC 中的外...

  • 蜂鸟E203系列——按键中断设计

    欲观原文,请君移步 原理图 LED 原理图如下图所示,连接GPIO19,GPIO21,GPIO22。 按键 BUTTON 原理图如下图所示,连...

  • 蜂鸟E203系列——定时器中断设计

    欲观原文,请君移步 原理图 LED 原理图如下图所示,连接GPIO19,GPIO21,GPIO22。 源码 实验结果 每间隔 1 秒,可以看到 ...

  • Resize,w 360,h 240
    蜂鸟E203系列——Windows下运行hello world例程

    欲观原文,请君移步 创建 hello world 例程 选择file->new->C / C++ Project 2.选择 C Managed ...